eda实训报告 |
|
|
论文联盟*编辑。 eda实训报告
------十进制计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt10 is port ( reset: in std_logic; en: in std_logic; clk: in std_logic; cout: out std_logic; q: buffer std_logic_vector(3 downto 0) ); end cnt10; architecture behave of cnt10 is begin process(clk) variable a:std_logic_vector(3 downto 0); begin if (reset='0') then a:="0000"; elsif (clk'event and clk='1') then if (en='1') then if (a="1001") then a:="0000"; else a:= a + '1';   [1] [2] [3] [4] [5] [6] 下一页 |
|
|
|
上一个论文: 制药有限公司实习报告 下一个论文: 2012生产实习报告 |
|