| 网站首页 | 范文 | 演讲致词 | 汇报体会 | 总结报告 | 公文方案 | 领导讲话 | 党建工会 | 论文 | 文档 | 
您现在的位置: 范文大全网 >> 论文 >> 今日更新 >> 正文 用户登录 新用户注册
eda实训报告           
eda实训报告
论文联盟*编辑。

eda实训报告

------十进制计数器
library ieee;                   
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity cnt10 is
  port ( reset: in    std_logic;
    en:    in    std_logic;
    clk:  in    std_logic;
    cout:  out    std_logic;
    q:    buffer std_logic_vector(3 downto 0)  );
end cnt10;
architecture behave of cnt10 is
begin
  process(clk)
    variable a:std_logic_vector(3 downto 0);
  begin
    if (reset='0') then a:="0000";
    elsif (clk'event and clk='1') then
      if (en='1') then
        if (a="1001") then a:="0000";
        else a:= a + '1';
       

[1] [2] [3] [4] [5] [6] 下一页

  • 上一个论文:

  • 下一个论文:
  • 推荐文章
    Mozilla实验移动操作系统B2G
    浅谈如何利用EDA软件提高电子
    电子工程设计的EDA技术探讨
    浅谈电子工程设计的EDA技术
    浅谈简易LED电子广告牌的设计
    智能LED路灯节能控制系统的设
    节日英语:教师节的来历Teac
    探讨AIDA公式在旅游目的
    如何利用EDA软件提高电子类专
    试论关于中职学校网络技术专